您的位置:首頁(yè) >新聞 > 股票 >

A股半導(dǎo)體板塊走勢(shì)明顯分化 多數(shù)個(gè)股股價(jià)大幅回撤超30%

短暫的產(chǎn)業(yè)鏈全線上漲后,8月8日,半導(dǎo)體板塊走勢(shì)明顯分化。

盤(pán)面上,存儲(chǔ)芯片、MCU、EDA等細(xì)分板塊明顯回調(diào), Chiplet(芯粒)概念為支撐半導(dǎo)體板塊的最火題材。據(jù)了解,Chiplet模式是在摩爾定律趨緩下的半導(dǎo)體工藝發(fā)展方向之一。該方案通過(guò)將多個(gè)裸芯片進(jìn)行先進(jìn)封裝實(shí)現(xiàn)對(duì)先進(jìn)制程迭代的彎道超車。

業(yè)績(jī)分化是半導(dǎo)體板塊走勢(shì)分化的主因,從已披露業(yè)績(jī)預(yù)告和半年報(bào)情況來(lái)看,半導(dǎo)體設(shè)備端業(yè)績(jī)表現(xiàn)凸出,走出一定國(guó)產(chǎn)替代邏輯。而受消費(fèi)電子需求萎靡拖累,砍單與降庫(kù)存依然是主旋律。

需要指出的是,伴隨Chiplet題材炒作,已有多家券商發(fā)布了與Chiplet概念有關(guān)的研報(bào)。但Chiplet并不是最才被提出的半導(dǎo)體先進(jìn)技術(shù),已成熟運(yùn)用該技術(shù)的多為國(guó)際巨頭。在A股相關(guān)封測(cè)廠商股價(jià)上漲之際,新技術(shù)能夠貢獻(xiàn)多少業(yè)績(jī)才是投資者真正需要關(guān)注的重點(diǎn)。

走勢(shì)分化即業(yè)績(jī)分化

從板塊的分化走勢(shì)來(lái)看,封測(cè)廠商表現(xiàn)仍然相對(duì)亮眼,主要系Chiplet題材帶動(dòng)封測(cè)板塊,通富微電(002156.SZ)錄得兩連板,華天科技(002185.SZ)、長(zhǎng)電科技(600584.SH)、晶方科技(603005.SH)等封測(cè)廠商的股價(jià)都有不錯(cuò)表現(xiàn)。

另一邊,存儲(chǔ)、EDA、數(shù)?;旌闲酒?、碳化硅等細(xì)分板塊的個(gè)股明顯回調(diào),龍芯中科(688047.SH)、必易微(688045.SH)均重挫逾9%,兩周,這兩只股累計(jì)漲逾30%;概倫電子(6880206)、天岳先進(jìn)(688234.SH)、晶晨股份(688099.SH)等熱門(mén)股均收跌超5%。

就今年前七個(gè)月來(lái)看,半導(dǎo)體板塊表現(xiàn)拉胯,多數(shù)個(gè)股股價(jià)大幅回撤超30%,截至8日收盤(pán),中華半導(dǎo)體芯片年內(nèi)仍累計(jì)下跌21.78%。跌出估值價(jià)比后,半導(dǎo)體板塊是反轉(zhuǎn)還是反彈,業(yè)內(nèi)爭(zhēng)論不休??纯辗秸J(rèn)為,周期下行、景氣度下滑,多數(shù)企業(yè)難穿越周期,業(yè)績(jī)不可避免地迎來(lái)下降;看多者則認(rèn)為國(guó)產(chǎn)替代市場(chǎng)巨大,拋出諸如“國(guó)產(chǎn)化邏輯刺激板塊底部反彈”等觀點(diǎn)。

“市場(chǎng)4月底以來(lái)的快速反彈幅度較大,新能源、汽車等板塊已經(jīng)累計(jì)較高盈利盤(pán),資金調(diào)倉(cāng)需求下,板塊輪動(dòng)較快,半導(dǎo)體板塊前期無(wú)人問(wèn)津,且估值處于相對(duì)低位,是獲得資金青睞的主要原因。”某私募人士說(shuō),“很明顯的是全球通脹下消費(fèi)電子市場(chǎng)低迷,液晶面板行業(yè)也進(jìn)入下行周期的低谷,致使設(shè)計(jì)廠商的投片受到影響。全行業(yè)的需求增量主要來(lái)自汽車等行業(yè)帶動(dòng),同時(shí),設(shè)備和材料端受益大廠投資擴(kuò)建,業(yè)績(jī)抗壓能力更強(qiáng)。”

市場(chǎng)行情雖難以預(yù)測(cè),逐步披露半年度報(bào)告是檢驗(yàn)基本面的試金石。從已披露的業(yè)績(jī)預(yù)告、快報(bào)以及半年報(bào)來(lái)看,受益于行業(yè)高景氣和國(guó)內(nèi)外客戶資本開(kāi)支景氣,半導(dǎo)體設(shè)備廠商業(yè)績(jī)確定更高。

8月7日晚,盛美上海(688082.SH)發(fā)布2022年半年度報(bào)告,公司實(shí)現(xiàn)營(yíng)業(yè)收入10.95億元,同比增長(zhǎng)75.21%,歸母凈利潤(rùn)2.36億元,同比增長(zhǎng)163.83%,扣非后歸母凈利潤(rùn)同比增長(zhǎng)427%,為2.57億元。

盛美上海2021年11月登陸科創(chuàng)板,是一家半導(dǎo)體設(shè)備臺(tái)型廠商,主營(yíng)產(chǎn)品為半導(dǎo)體清洗設(shè)備、前道半導(dǎo)體電鍍?cè)O(shè)備和先進(jìn)封裝濕法設(shè)備。盛美上海表示,市場(chǎng)對(duì)公司半導(dǎo)體設(shè)備的強(qiáng)勁需求,公司銷售訂單及產(chǎn)能均持續(xù)增長(zhǎng),是對(duì)推動(dòng)營(yíng)業(yè)收入進(jìn)一步提升的主要原因。

以智能手機(jī)為代表的消費(fèi)電子需求明顯萎縮。根據(jù)聯(lián)發(fā)科2022年第二季度財(cái)報(bào),聯(lián)發(fā)科第二季度營(yíng)收1557億新臺(tái)(約350.13億元人民),同比增長(zhǎng)23.9%;歸母凈利354.37億新臺(tái),同比增長(zhǎng)28.8%。同時(shí)聯(lián)發(fā)科下調(diào)全年?duì)I收增速預(yù)期,由20%下調(diào)至16%~19%,并削減智能手機(jī)的出貨預(yù)期。

周期下行,Chiplet概念能否雪中送炭?

上周,半導(dǎo)體產(chǎn)業(yè)鏈全線上漲之際,Chiplet概念就引起市場(chǎng)熱議。Chiplet被認(rèn)為是有望成功延續(xù)摩爾定律的技術(shù)手段,有望給整個(gè)半導(dǎo)體產(chǎn)業(yè)鏈帶來(lái)非常革命的變化。

實(shí)際上,早在2015年Chiplet就被提出,并非半導(dǎo)體行業(yè)的新概念。幾日,伴隨著題材炒作熱度提升,中信證券、廣發(fā)證券、國(guó)盛證券、浙商證券等多家券商機(jī)構(gòu)發(fā)布了Chiplet技術(shù)相關(guān)研報(bào)。

根據(jù)國(guó)盛證券研報(bào),Chiplet技術(shù)迅速發(fā)展的原因得益于其在降低成本并提升芯片能方面的獨(dú)特優(yōu)勢(shì),優(yōu)勢(shì)體現(xiàn)在三方面:一是可以大幅提高大型芯片的良率,二是可以降低設(shè)計(jì)的復(fù)雜度和設(shè)計(jì)成本,三是降低芯片制造的成本。與傳統(tǒng)的SoC方案相比,Chiplet 模式具有設(shè)計(jì)靈活、成本低、上市周期短三方面優(yōu)勢(shì)。業(yè)內(nèi)認(rèn)為,Chiplet模式下率先受益的或是封測(cè)環(huán)節(jié),通富微電、晶方科技等國(guó)產(chǎn)封測(cè)大廠股價(jià)隨之上漲。

而現(xiàn)實(shí)情況是半導(dǎo)體技術(shù)不存在彎道超車,任何新技術(shù)規(guī)模化過(guò)程的挑戰(zhàn)與機(jī)遇并存。復(fù)盤(pán)Chiplet發(fā)展,已將該技術(shù)應(yīng)用的均為國(guó)際半導(dǎo)體巨頭。AMD是第一個(gè)將小芯片架構(gòu)引入其最初的Epyc處理器Naples的芯片廠商,英特爾、三星、臺(tái)積電也在積極布局該技術(shù)。

今年3月,AMD、Arm、英特爾、高通、三星、臺(tái)積電、微軟、谷歌、Meta、日月光等十家行業(yè)巨頭組成UCIe(Universal Chiplet Interconnect Express)產(chǎn)業(yè)聯(lián)盟。攜手推動(dòng)Chiplet接口規(guī)范的標(biāo)準(zhǔn)化。國(guó)內(nèi)多家頭部企業(yè)已經(jīng)敏銳嗅到Chiplet領(lǐng)域的機(jī)遇,紛紛入局。

相比設(shè)計(jì)廠商,A股半導(dǎo)體封測(cè)廠商的頭部集中度更高。第一財(cái)經(jīng)記者梳理定期報(bào)告顯示,通富微電、長(zhǎng)電科技均在2021年報(bào)中表示,正在積極布局Chiplet等先進(jìn)封裝技術(shù),部分新項(xiàng)目已于2021年進(jìn)入量產(chǎn)階段;晶方科技、華天科技的定期報(bào)告未提及Chiplet相關(guān)信息。

長(zhǎng)電科技已于今年6月加入U(xiǎn)CIe產(chǎn)業(yè)聯(lián)盟,共同致力于Chiplet核心技術(shù)突破和成品創(chuàng)新發(fā)展。公司于去年推出了XDFOI全系列極高密度扇出型封裝解決方案,該技術(shù)是一種面向Chiplet的極高密度,多扇出型封裝高密度異構(gòu)集成解決方案,包括2D/2.5D/3D Chiplet,能夠?yàn)榭蛻籼峁某R?guī)密度到極高密度,從極小尺寸到極大尺寸的一站式服務(wù)。

通富微電表示,公司在Chiplet、WLP、SiP、Fanout、2.5D、3D堆疊等方面均有布局和儲(chǔ)備。

實(shí)際上,Chiplet也面臨著許多挑戰(zhàn),因?yàn)椴煌募軜?gòu),不同的制造商所生產(chǎn)的的互聯(lián)接口和協(xié)議都有很大的不同,設(shè)計(jì)者必須考慮到很多復(fù)雜的因素,如工藝、封裝技術(shù)、系統(tǒng)集成、擴(kuò)展等等。

伴隨行業(yè)周期下行,細(xì)分板塊業(yè)績(jī)明顯分化,這次A股半導(dǎo)體板塊能否復(fù)制2019年、2021年的主升浪行情仍是未知數(shù)。炒作Chiplet概念不能提速國(guó)產(chǎn)替代步伐,先進(jìn)技術(shù)落地靠的是日積月累。

最新動(dòng)態(tài)
相關(guān)文章
A股半導(dǎo)體板塊走勢(shì)明顯分化 多數(shù)個(gè)股股...
大港股份5個(gè)交易日內(nèi)累漲61% 大港股份...
原材料成本大幅上漲 金龍魚(yú)上半年實(shí)現(xiàn)...
南玻A第二次控制權(quán)之爭(zhēng)終止 前海人壽與...
弘業(yè)期貨8月5日上市 年內(nèi)最便宜新股招...
高瓴一篇文章引爆鈣鈦礦概念 光伏產(chǎn)業(yè)...